Tue Oct 4 01:00:24 2005 PST

268 ISSUES

ReleaseMeaning
2001aIssue against 1364-2001 First Edition
2001bIssue against 1364-2001 Second Edition (Possibly also issue in First Edition)
2001a, 2001bIssue against both 1364-2001 First and Second Editions (i.e. errata partial fix in 2001b, but more changes required)

ISSUE State Class Release Originator Arrival-Date Synopsis
4 open
enhancement
2001b
Shalom Bresticker
Jul 24 2001
Allow assignment to an array
22 analyzed
errata-discuss
2001b: A.6.5
Shalom Bresticker, Motorola
Aug 27 2001
@* should have a space ?
37 open
errata-discuss
2001b
Daryl Stewart
Sep 28 2001
Possible syntax restrictions on periods
48 open
errata-discuss
2001b: 6.1.3

Oct 14 2001
6.1.3: wire delays and continuous assigns
54 open
errata-discuss
2001b, 5.6.6, 12.3.9.2, 12.3.8
Shalom.Bresticker@motorola.com
Oct 16 2001
5.6.6 Port connections - inaccurate description
55 open
enhancement-dtype
2001b
Shalom.Bresticker@motorola.com
Oct 17 2001
allow arrays and reals as ports
57 open
errata-discuss
2001b: 5
Shalom.Bresticker@motorola.com
Oct 24 2001
5: scheduling
58 open
enhancement
2001b
Shalom Bresticker
Nov 20 2001
allow force on memory word or bit-/part-select of vector variable
68 analyzed
errata-ptf
2001b: 26.6.42
Dennis Marsa
Jan 31 2002
Missing objects from VPI attribute object diagram
69 open
errata-ptf
2001b: 26.6.42
Dennis Marsa
Jan 31 2002
vpiDefAttribute property not completely documented
72 open
errata-discuss
2001b, 18.4.3.2
chas@cadence.com
Jul 15 2002
$dumpports as specified in useless
80 open
errata-simple
2001b, 17.2.7
Daryl.Stewart@cl.cam.ac.uk
Jul 29 2002
17.2.7 omits description of use of fd
81 open
errata-simple
2001b, 4.1.9, 4.5.1
Paul Graham (pgraham@cadence.com)
Jul 29 2002
4.1.9, 4.5.1 are not clear how && and || work
82 open
errata-discuss
2001b: 9.7.5
Dennis Marsa
Jul 30 2002
9.7.5: Description of @*, @(*) incomplete
83 open
errata-discuss
2001b: 4.5
Dennis Marsa
Jul 30 2002
4.5: Expression type/evaluation issues with real operands
84 open
errata-discuss
2001b: 9.7.5
sharp@cadence.com
Jul 30 2002
9.7.5: Should @* include delay controls?
88 open
errata-discuss
2001b, 9.7.2, 9.7.4
Steven Sharp <sharp@cadence.com>
Jul 31 2002
9.7.2 should say that event_controls can be expressions
90 open
errata-discuss
2001b, 19
Dennis Marsa <drm@xilinx.com>
Aug 05 2002
19:unclear which compiler directives must be alone on line
98 open
errata-simple
2001b: 17.10.2
Charles Dawson
Aug 14 2002
17.10.2: leading zero in format makes no sense
99 open
errata-discuss
2001b: Annex B
sharp@cadence.com
Aug 17 2002
Annex B: include, incdir, library listed as reserved
105 open
errata-discuss
2001b: 10.3
sharp@cadence.com
Aug 22 2002
10.3: return value of automatic function not initialized
107 open
errata-discuss
2001b Section 13.2.1.1
sharp@cadence.com
Aug 26 2002
Config and module of same name in same library?
108 open
errata-simple
2001b Section 13.3.1.2
sharp@cadence.com
Aug 26 2002
Only one default clause in config?
110 open
errata-simple
2001b: 18.4.3.1
hgupta@cadence.com
Sep 09 2002
18.4.3.1: $dumpports for supply nets
111 open
errata-discuss
2001b: 17.2.4.4
Charles Dawson
Sep 09 2002
17.2.4.4: How should $fread deal with partial data to a memory?
135 open
errata-simple
2001b, 13.1.1
Shalom Bresticker
Sep 29 2002
13.1.1: library_cell is defined but not used
170 analyzed
errata-discuss
2001b
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Oct 24 2002
formatting of bnf non-terminals
172 open
errata-discuss
2001b: 3.5
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Oct 24 2002
3.5 Implicit Declarations - moved from #125B
175 open
errata-discuss
2001b: 13.2
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Oct 27 2002
13.2: file_path_spec syntax and related issues
183 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Nov 05 2002
allow reverse part-select [lsb:msb]
189 open
enhancement
2001b: 12.1
"Brad Pierce" <Brad.Pierce@synopsys.com>
Nov 11 2002
12.1, macromodule needs clarification
191 open
enhancement
2001b: 3.11.2, 12.1, A.1.4
Shalom Bresticker
Nov 14 2002
Add localparam to ANSI-type param list
198 open
errata-discuss
2001b: 12.3.9.2
Shalom.Bresticker@motorola.com
Nov 19 2002
12.3.9.2: sinks should allow only constant part-selects
201 open
enhancement
2001b
Shalom.Bresticker@motorola.com
Nov 19 2002
module instance without parentheses
203 open
errata-discuss
2001b: A.1.4
Dennis Marsa <drm@xilinx.com>
Nov 19 2002
A.1.4: list_of_port_declarations BNF rule
204 open
errata-discuss
2001b: 12.3.2
"Brad Pierce" <Brad.Pierce@synopsys.com>
Nov 20 2002
12.3.2, port definition names
212 open
errata-discuss
2001b: 13.2
sharp@cadence.com
Dec 07 2002
Section 13.2 does not describe -incdir
215 open
errata-discuss
2001b: 2.5.1 et al
Shalom.Bresticker@motorola.com
Dec 11 2002
need to clarify signedness
220 open
enhancement
2001b
sharp@cadence.com
Dec 16 2002
$random functions not 64-bit portable
227 open
errata-discuss
2001b
"Brad Pierce" <Brad.Pierce@synopsys.com>
Dec 23 2002
task/function port lists and internal block item declarations
233 open
errata-discuss
2001b: 12.3.4
"Brad Pierce" <Brad.Pierce@synopsys.com>
Dec 25 2002
12.3.4: nonport declarations for identifiers mentioned in list_of_port_declarations
234 open
errata-discuss
2001b: 12.3
"Brad Pierce" <Brad.Pierce@synopsys.com>
Dec 25 2002
12.3.{2,3,6}, named port connections for implicit ports with same name
237 open
errata-discuss
2001b: 15.1, 15.6, A.7.5.3
Dan.Jacobi@intel.com
Dec 29 2002
15.1, 15.6, A.7.5.3: scalar_timing_check_expressions has redundancies
240 open
enhancement
2001b
Shalom.Bresticker@motorola.com
Jan 01 2003
Allow initializing declarations in named blocks, tasks, functions
245 open
errata-discuss
2001b: 12.2
Shalom.Bresticker@motorola.com
Jan 05 2003
12.2: confusing
247 open
errata-discuss
2001b: 7.1.5, 12.1.2, 12.4
Paul Graham
Jan 05 2003
12.4 et al: hierarchical references to instance array
248 open
errata-discuss
2001b: 3.12, 13
Shalom.Bresticker@motorola.com
Jan 05 2003
3.12: configurations, modules, and name spaces
252 open
enhancement-ptf
2001b
Charles Dawson
Jan 08 2003
Need to reserve range of values for future additions to VPI
254 open
errata-discuss
2001b: 3.10, 4.2, 6, 9.2, 12.3.9.2
Shalom.Bresticker@motorola.com
Jan 09 2003
Table 30 should clarify that LHS can be array word or select
255 open
errata-discuss
2001b
Shalom.Bresticker@motorola.com
Jan 12 2003
clarify explicitly whether a module may instantiate itself
256 open
errata-simple
2001b: Annex A
Shalom.Bresticker@motorola.com
Jan 12 2003
red hyperlinked BNF?
272 analyzed
errata-discuss
2001b: Syntax 12-2, A.4.1
"Brad Pierce" <Brad.Pierce@synopsys.com>
Jan 24 2003
Nonconstant parameter assignments in module instantiations (A.4.1)
273 open
errata-discuss
2001b: 4.
Shalom.Bresticker@motorola.com
Jan 25 2003
LRM does not specify result of real division by 0
274 open
errata-discuss
2001b: 17.1
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Jan 26 2003
LRM does not specify how Infinity and NaNs to be displayed for
278 open
errata-simple
2001b: 17.1.1
Shalom.Bresticker@motorola.com
Jan 30 2003
17.1.1: not clear how "\a" is interpreted
285 open
errata-discuss
2001b: 18.1.3
Stephen Williams <steve@icarus.com>
Feb 18 2003
18.1.3: VCD $dumpoff and real values
290 open
errata-discuss
2001b: 2.7.1, 2.7.3
"Brad Pierce" <Brad.Pierce@synopsys.com>
Mar 02 2003
2.7: Escaping keyword identifiers
292 open
errata-discuss
2001b: 12.3.3
"Brad Pierce" <Brad.Pierce@synopsys.com>
Mar 04 2003
12.3.3 : net declaration before port declaration
293 open
enhancement
2001b
Kurt Baty <kurt@wsfdb.wsfdb.com>
Mar 05 2003
variable width floating point in Verilog 200X
297 open
enhancement
2001b
sharp@cadence.com
Mar 10 2003
Allow instance array connected to data array
298 open
enhancement
2001b
sharp@cadence.com
Mar 10 2003
Add field widths to print formats
302 open
errata-simple
2001b
sharp@cadence.com
Mar 20 2003
Why only ranges on specparams (A2.1.1)
307 open
errata-ptf
2001b
Stephen Williams <steve@icarus.com>
Mar 21 2003
27.19 what happenes with escaped identifiers
311 open
errata-ptf
2001b
James J. Kulikowski <jjk@cadence.com>
Mar 24 2003
Problem with loads in VPI
312 open
enhancement-ptf
2001b
Charles Dawson
Mar 24 2003
Need two new time related callbacks
313 open
errata-ptf
2001b
Charles Dawson
Mar 24 2003
Need to document the order of event related VPI callbacks
318 open
errata-simple
2001b: 9.3.2
"Brad Pierce" <Brad.Pierce@synopsys.com>
Apr 01 2003
Table 30, 9.3.2, procedural assign to nonconstant part select and force of nonconstant bit-select
321 open
errata-discuss
2001b: Table 29, 4.1.14, 2.5.1(3), 3.9, 9.5
"Brad Pierce" <Brad.Pierce@synopsys.com>
Apr 04 2003
Table 29, 4.1.14, 2.5.1(3), 3.9, 9.5 -- size of unsized numbers and integer variables
323 open
errata-simple
2001b: NOTES
Shalom.Bresticker@motorola.com
Apr 08 2003
Some NOTES should be normative
324 open
errata-discuss
2001b: 15.1, A.7.5.2
"Brad Pierce" <Brad.Pierce@synopsys.com>
Apr 09 2003
15.1, A.7.5.2 -- Should timing_check_limit be constant_expression?
326 open
errata-discuss
2001b
Vassilios.Gerousis@infineon.com
Apr 11 2003
[sv-ec] Handling of escaped identifiers.
332 open
errata-discuss
2001b: 12.3.3
"Brad Pierce" <Brad.Pierce@synopsys.com>
Apr 15 2003
12.3.3 -- port direction declarations that don't mention the size of port
336 open
errata-discuss
2001b: 26.6.42, Annex A
sharp@cadence.com
Apr 28 2003
Attributes in VPI but not in BNF (26.6.42 vs Appendix A)
339 open
errata-ptf
2001b
Dennis Marsa <drm@xilinx.com>
May 02 2003
pulse control declarations not represented in VPI
344 analyzed
doc-bug
2001b: 9.5
Stephen Williams <steve@icarus.com>
May 07 2003
9.5: Case Statements with Real Expressions
347 open
enhancement-ptf
2001b
Stephen Williams <steve@icarus.com>
May 16 2003
No support for file fd (vs. mcd) in vpi (proposal?)
353 open
errata-discuss
2001b: 1.2
Shalom Bresticker <Shalom.Bresticker@motorola.com>
May 28 2003
1.2: clarify terms like "undefined"
355 open
errata-simple
2001b: 3.7, 7.10, 7.13
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Jun 01 2003
3.7 and 7.10,7.13: need xrefs
357 open
enhancement
2005
Jay Lawrence - Cadence Design Systems
Jun 02 2003
Proposal for Extending Verilog Data Types
358 open
enhancement
2005
Jay Lawrence - Cadence Design Systems
Jun 02 2003
Proposal for IP Encryption Format for Verilog
359 open
enhancement
2005
Jay Lawrence - Cadence Design Systems
Jun 02 2003
Proposal for Randomization and Constraints
360 open
errata-discuss
2001b:D.3-D.6
Shalom.Bresticker@motorola.com
Jun 08 2003
D.3-D.6: delay modes not defined
362 open
errata-discuss
2001b: 15
Shalom.Bresticker@motorola.com
Jun 08 2003
15: notifier is reg only?
363 open
errata-discuss
2001b: 15.5.1-15.5.4
Shalom.Bresticker@motorola.com
Jun 08 2003
15.5.1-15.5.4: neg timing checks only?
364 open
errata-discuss
2001b: 19.3
Stephen Williams <steve@icarus.com>
Jun 15 2003
19.3: Preprocessor macros within strings
369 open
enhancement-ptf
2001b
Charles Dawson
Jun 25 2003
Clean up VPI diagrams to remove redundancy
370 open
enhancement-ptf
2001b
Charles Dawson
Jun 25 2003
Use formal language such as UML and Rational Rose for data
371 open
enhancement-ptf
2001b
Charles Dawson
Jun 25 2003
26.6.1 Should we break it up?
372 open
errata-discuss
2001b: 13.1-13.4
Hemant Gupta <hgupta@cadence.com>
Jun 26 2003
13: Errata on Verilog configurations
373 open
errata-ptf
2001b
Charles Dawson
Jun 26 2003
27.32 How does inertial delay work for mixed sizes?
374 open
errata-ptf
2001b
Charles Dawson
Jun 26 2003
27.32 putting values with delay are they active or inactive?
375 open
errata-simple
2001b: A.1.5, A.7.1
sharp@cadence.com
Jun 26 2003
A.1.5, A.7.1: Consistency on attributes on specparams
378 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Jul 07 2003
add Quick Reference
381 analyzed
enhancement
2001b
sharp@cadence.com
Jul 09 2003
table model system task
382 open
enhancement
2001b
sharp@cadence.com
Jul 09 2003
add view configurations
383 open
enhancement
2001b
sharp@cadence.com
Jul 09 2003
add inherited connections
384 open
enhancement
2001b
sharp@cadence.com
Jul 09 2003
add mfactor parameters
385 open
enhancement
2001b
sharp@cadence.com
Jul 09 2003
interconnect net that resolves to type
386 analyzed
enhancement
2001b
sharp@cadence.com
Jul 09 2003
user defined functions on instantiations
388 open
enhancement
2001b
sharp@cadence.com
Jul 09 2003
genvar in behavior
389 open
enhancement
2001b
sharp@cadence.com
Jul 09 2003
dynamic param
391 open
enhancement
2001b
sharp@cadence.com
Jul 09 2003
wreal type
394 open
errata-discuss
2001b: 17.10.2
Gordon Vreugdenhil <gvreugde@Synopsys.COM>
Jul 14 2003
17.10.2: Meaning of special characters in $value$plusargs
395 open
errata-simple
2001b: 3.9.1
Shalom.Bresticker@motorola.com
Jul 16 2003
3.9.1: content overlaps end of 3.9 + other problems
396 analyzed
errata-ptf
2001b
Hemant Gupta <hgupta@cadence.com>
Jul 17 2003
Errata on VPI configuration properties
400 open
enhancement
2001b: 4.1.5
Shalom.Bresticker@motorola.com
Jul 25 2003
reduce arithmetic operators x-pessimism
401 open
enhancement
2001b: 4.1.7
Shalom.Bresticker@motorola.com
Jul 25 2003
reduce relational operators x-pessimism
404 open
enhancement
2001b
Shalom.Bresticker@motorola.com
Jul 27 2003
add wildcards for equality operators
405 open
enhancement
2001b
Shalom.Bresticker@motorola.com
Jul 27 2003
add ranges for equality operators
406 open
enhancement
2001b
Shalom.Bresticker@motorola.com
Jul 27 2003
add lists for equality operators
409 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Jul 28 2003
lists in part-selects
411 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Jul 31 2003
extend operators to vectors and arrays
414 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Aug 02 2003
rotate operator
416 open
errata-discuss
2001b
Shalom.Bresticker@motorola.com
Aug 14 2003
check use of constant_expression
417 open
errata-simple
2001b: 2, 12
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Aug 15 2003
2, 12: improving syntax boxes
418 open
errata-discuss
2001b
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Aug 18 2003
review 1364-2001 ballot comments and responses
419 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Aug 18 2003
reconsider for 1364-2005 proposals made for 1364-2001
420 open
enhancement-ptf
2001b
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Aug 18 2003
reconsider deferred PLI change proposals
421 open
enhancement
2001b: 17.9.3
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Aug 18 2003
17.9.3: move to Annex
422 open
enhancement
2001b: 18
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Aug 18 2003
18: extend $dumpvars to exclude a signal or module
423 open
errata-discuss
2001b
sharp@cadence.com
Aug 19 2003
17.2.4.3 $sscanf and x/z
424 open
errata-simple
2001b
Jay Lawrence - Cadence Design Systems
Aug 21 2003
Clarify terms "design unit", "description" and "hardware description"
427 open
enhancement
2001b: 4.1.3, 4.1.6
Shalom.Bresticker@motorola.com
Aug 22 2003
combine 4.1.3 and 4.1.6
429 open
enhancement
2001b
Stefen Boyd <stefen@boyd.com>
Aug 22 2003
Incorporate by reference Accellera PSL
433 open
enhancement-ptf
2005
Francoise Martinolle
Aug 22 2003
VPI model extensions for PSL assertions
434 open
enhancement-ptf
2005
Francoise Martinolle
Aug 22 2003
VPI model extensions for data types access (Cadence donation enhancement 357)
435 open
enhancement
2005
Francoise Martinolle
Aug 22 2003
Verilog transaction recording extensions
438 open
enhancement
2005
Yaron Kashai
Aug 24 2003
Verification extensions for Verilog
443 open
enhancement
2001b
Jay Lawrence - Cadence Design Systems
Aug 25 2003
Allow modules to be passed through ports
444 open
errata-simple
2001b: 17.2.4.3, 17.2.5
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Aug 25 2003
17.2.4.3, 17.2.5: returning EOF
446 open
errata-discuss
2001b: 17.2.4
sharp@cadence.com
Aug 25 2003
17.2.4 gives incorrect modes for reading
447 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Aug 26 2003
`ifdef boolean combination of identifiers
448 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Aug 26 2003
extend new file i/o to allow combinations of fd's
449 open
errata-discuss
2001b: 4.1.4
"Brad Pierce" <Brad.Pierce@synopsys.com>
Aug 26 2003
4.1.4 -- expression evaluation short circuiting
450 open
enhancement
2001b
Stephen Williams <steve@icarus.com>
Aug 26 2003
Compressed Assignment Satements
451 open
enhancement
2001b: C,D
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Aug 27 2003
review Annex C and D
452 open
errata-simple
2001b: 10.2.2, 10.3.1
"Brad Pierce" <Brad.Pierce@synopsys.com>
Aug 27 2003
10.2.2, 10.3.1: 'reg' keyword in tf port declarations
453 open
enhancement
2001b
sharp@cadence.com
Aug 28 2003
add `undefineall functionality
455 open
enhancement
2005
atsushi@jedatechnologies.com
Aug 30 2003
Jeda Verification Enhancements
456 open
errata-discuss
2001b: index
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Aug 31 2003
check index
457 open
enhancement
2001b: Index
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Aug 31 2003
extend index to complete 1364-2001
458 open
enhancement
2001b: Index
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Aug 31 2003
extend index to cover 1364-2005 enhancements
459 open
errata-discuss
2001b: 9.2
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Aug 31 2003
9.2: assignment variable_lvalue evaluation order question
460 open
errata-simple
2001b: 9.6, 9.7.7
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Sep 02 2003
9.6, 9.7.7: neg/x/z repeat count
463 open
errata-discuss
2001b: 4.1.10,4.1.13
"Brad Pierce" <Brad.Pierce@synopsys.com>
Sep 04 2003
4.1.13: Zero fill in ?: even if signed or x/z
466 open
enhancement
2005
Fintronic USA, Inc.
Sep 09 2003
Separate Compilation
468 open
errata-simple
2001b: 4.2.1
Krishna Garlapati <krishna@synplicity.com>
Sep 14 2003
4.2.1: Vector bit-select and part-select addressing
469 open
errata-discuss
2001b: 19.6
"Brad Pierce" <Brad.Pierce@synopsys.com>
Sep 14 2003
19.6: can `resetall occur within a module definition?
471 open
errata-discuss
2001b: 5.6
Stephen Williams <steve@icarus.com>
Sep 14 2003
5.6: Synchronous (Sequential) UDP Scheduling Semantics
472 open
errata-simple
2001b: 2.5.1
"Brad Pierce" <Brad.Pierce@synopsys.com>
Sep 16 2003
2.5.1: underscore characters legal anywhere in a number?
473 open
enhancement
2001b
Adam Krolnik
Sep 16 2003
Preprocessor directive for user defined preprocessor
474 open
enhancement
2001b
Adam Krolnik
Sep 16 2003
First class part selection operator
475 open
enhancement
2001b
Adam Krolnik
Sep 16 2003
Support a container to define how to interface to a set of signals.
476 open
enhancement
2001b
Adam Krolnik
Sep 16 2003
Standard reporting mechanism for functional errors/warnings, etc.
477 open
enhancement
2001b
Adam Krolnik
Sep 16 2003
Provide an assertion statement with the capability to use industry standard property specification.
478 open
enhancement
2001b
Adam Krolnik
Sep 16 2003
Provide part selection of a structure
481 open
enhancement
2001b
Shalom.Bresticker@motorola.com
Sep 18 2003
define standard preprocessor
482 open
enhancement
2001b
Shalom.Bresticker@motorola.com
Sep 18 2003
add standard way to define functional coverage points
485 open
errata-discuss
2001b
Stephen Williams <steve@icarus.com>
Sep 20 2003
Multi-line string literals?
487 open
errata-simple
2001b: 3.11.1, 12.2
sharp@cadence.com
Sep 23 2003
Unclear parameter type conversion rules (3.11.1 and 12.2)
489 open
errata-simple
2001b
sharp@cadence.com
Oct 03 2003
parameters with signed but no range (3.11.1 and 12.2)
492 open
enhancement
2001b
Shalom.Bresticker@motorola.com
Oct 11 2003
add lists of figures, tables, syntaxes
494 open
errata-discuss
2001b: 4.2.2
Steven Sharp <sharp@cadence.com>
Oct 17 2003
4.2.2: out-of-range or x/z index to array of reals
495 open
errata-ptf

Charles Dawson
Oct 23 2003
Unclear memory allocation for acc_set_value() and vpi_put_value()
496 open
enhancement-ptf
2001b
Adam Krolnik
Oct 28 2003
Want safe VPI methods to call.
497 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Oct 28 2003
add glossary section
498 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Nov 03 2003
system function/task to extract timescale info to variables
499 open
errata-discuss
2001b
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Nov 04 2003
3.2.2, 4.1.6: parameter signedness
500 open
errata-discuss
2001b
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Nov 04 2003
hierarchical block references
501 open
errata-discuss
2001b
Shalom.Bresticker@motorola.com
Nov 05 2003
library file_path syntax
502 open
enhancement
2001b
Shalom.Bresticker@motorola.com
Nov 05 2003
Dynamic Values on attributes
503 open
errata-discuss
2001b
Steven Sharp <sharp@cadence.com>
Nov 06 2003
Term "variable" misused in section 18
506 open
errata-discuss
2001b
Steven Sharp <sharp@cadence.com>
Nov 13 2003
4.5.1 Missing cases in signedness rules
508 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Nov 17 2003
add arrays of `defines
509 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Nov 17 2003
add arrays of parameters
510 open
errata-discuss
2001b: 12.3
Shalom.Bresticker@motorola.com
Dec 01 2003
12.3: Is "module m(.p(p));" legal?
514 open
enhancement
2001b
Shalom.Bresticker@motorola.com
Dec 04 2003
config file should support module and primitive arrays
517 open
errata-ptf

Charles Dawson
Dec 12 2003
27.14: No discussion on what to return if there is no value for an object
519 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Dec 18 2003
system function to get signal strength
520 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Dec 25 2003
3.3.2: deprecate "scalared" and "vectored" keywords
521 open
errata-discuss
2001b
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Dec 28 2003
section 7: connection of vector to gate terminal
528 open
enhancement

Steven Sharp
Jan 19 2004
SDF should support $timeskew and $fullskew
529 open
enhancement

Shalom.Bresticker@motorola.com
Jan 20 2004
Add "bidirectional skew" timing check
532 open
enhancement
2001b: 18
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Jan 27 2004
new, binary dump format in addition to vcd
533 open
errata-ptf
2001b: 20-27
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Jan 28 2004
Some PLI NOTES should be normative
534 open
errata-ptf

Charles Dawson
Jan 30 2004
27.14: Last sentence on page 676 - should be for vpi_register_cb()
537 open
enhancement
2001b
Shalom.Bresticker@motorola.com
Feb 08 2004
allow unsized numbers and integer variables in concatenations
539 open
errata-discuss
2001c: 15.3.6, 16.2.2
Shalom.Bresticker@motorola.com
Feb 10 2004
15.3.6, 16.2.2: $nochange
540 open
errata-simple

sharp@cadence.com (Behavioral Task Force)
Feb 11 2004
Misuse of term reg in 17.2.4.4
543 open
errata-discuss
2001b: 1.3f et al
Shalom.Bresticker@motorola.com
Feb 13 2004
1.3f: italicized BNF names
545 open
enhancement
2001c: 4.2.1, 4.2.2
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Feb 16 2004
4.2.1, 4.2.2: out of bounds addressing
548 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Feb 24 2004
support SDF RETAIN?
549 open
errata-simple

Steven Sharp
Feb 24 2004
17.1.1.7 leading zeros in string format
550 open
errata-ptf

Charles Dawson
Feb 25 2004
27.10 static buffer should be implementation specific
555 open
errata-discuss
2001b: 18.4.3.2
Shalom.Bresticker@motorola.com
Mar 08 2004
18.4.3.2: incomplete sentence
556 open
errata-discuss
2001b
Shalom.Bresticker@motorola.com
Mar 08 2004
"procedural continuous assignments"
558 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Mar 11 2004
allow multidimensional arrays of modules
559 open
errata-discuss
2001b
Shalom.Bresticker@motorola.com
Mar 11 2004
5.3, 17.1.2, 17.1.3: $strobe, $monitor
560 open
errata-discuss
2001b: 17.1.3
Shalom.Bresticker@motorola.com
Mar 12 2004
17.1.3: $monitor sensitivity
565 open
enhancement
2005
Shalom.Bresticker@motorola.com
Mar 18 2004
find way to embed PSL
566 open
errata-discuss
2001c: 9.5
"Brad Pierce" <Brad.Pierce@synopsys.com>
Mar 19 2004
9.5: case item expression ambiguity
569 open
errata-simple
2001b
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Mar 30 2004
17.2.3: $swrite is not a file-based i/o task
570 open
errata-discuss

sharp@cadence.com (Behavioral Task Force)
Apr 09 2004
12.5 "Upwards name referencing" description has problems
571 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Apr 14 2004
review explicit restrictions in LRM
572 open
enhancement
2001b
Shalom.Bresticker@motorola.com
Apr 27 2004
multidimensional instance arrays
573 open
enhancement
2001b
Shalom.Bresticker@motorola.com
Apr 27 2004
loops within concatenations?
576 open
enhancement-ptf
2001b
Shalom Bresticker <Shalom.Bresticker@freescale.com>
Apr 29 2004
add tables of object, method and property references in 26.6
577 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@freescale.com>
Apr 29 2004
tables of BNF non-terminal references
579 open
enhancement-ptf
2001b: 26.6
Shalom Bresticker <Shalom.Bresticker@freescale.com>
May 04 2004
26.6: return subsections to table of contents
580 open
enhancement

sharp@cadence.com (Behavioral Task Force)
May 05 2004
Add some system functions for use in constant expressions
581 open
errata-simple

sharp@cadence.com (Behavioral Task Force)
May 10 2004
17.2.4.1 doesn't say if result is signed
582 open
errata-discuss
2001b
"Brad Pierce" <Brad.Pierce@synopsys.com>
May 10 2004
A.1.2, config BNF doesn't match examples in Section 13
583 open
errata-discuss
2001b
Shalom Bresticker <Shalom.Bresticker@freescale.com>
May 11 2004
comment_text allows \n and */
584 open
errata-discuss

sharp@cadence.com (Behavioral Task Force)
May 25 2004
17.2.4.3 Should %5c be allowed with $fscanf?
585 open
enhancement

Shalom Bresticker <Shalom.Bresticker@freescale.com>
Jun 03 2004
parameterized task/function extensions
588 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@freescale.com>
Jun 14 2004
Add ranges to case_item expressions
589 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@freescale.com>
Jun 14 2004
x-pessimism for if statements
590 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@freescale.com>
Jun 14 2004
vector version of ?: operator
593 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@freescale.com>
Jul 19 2004
Continuous assignment case expressions
594 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@freescale.com>
Jul 19 2004
Allowing parameters to define the length of a constant
595 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@freescale.com>
Jul 19 2004
Ability to initialize variables to 0, 1, or random instead
596 open
errata-discuss

Eric Mahurin
Jul 19 2004
ambiguous operands in expressions (A.8.3)
597 open
errata-discuss

Eric Mahurin
Jul 19 2004
whitespace/comments within compiler directive
598 open
errata-discuss

Eric Mahurin
Jul 19 2004
"$" ambiguity in PATHPULSE$
599 open
errata-discuss

Eric Mahurin
Jul 19 2004
intermediate variables with @*
600 open
errata-discuss

Eric Mahurin
Jul 20 2004
directives within directives
601 analyzed
errata-discuss

Eric Mahurin
Jul 23 2004
macro formal argument usage
606 open
errata-ptf

Francoise Martinolle
Jul 26 2004
vpiReset is normative but $reset is information (same issue with $save)
608 open
errata-simple

Eric Mahurin
Jul 29 2004
mintypmax_expression usage
610 open
errata-simple

Eric Mahurin
Jul 30 2004
operand/operator relationship is ambiguous for event_expressions
612 open
errata-simple

Eric Mahurin
Jul 30 2004
redundancies in the BNF
618 open
errata-discuss
2001b
Shalom.Bresticker@freescale.com
Aug 12 2004
15.3.2: $timeskew Case 3 and Case 4 are the same
621 open
errata-discuss
2001b
"Brad Pierce" <Brad.Pierce@synopsys.com>
Sep 14 2004
19.3.1, one-line comments in macro arguments
624 open
errata-discuss
2001b, IEEE P1364-2005/D3, 5/6/04
Yong Xiao <yongx@tharas.com>
Sep 22 2004
Need clarification and resolution for extension rules
626 open
errata-discuss
2001b
Shalom Bresticker <Shalom.Bresticker@freescale.com>
Sep 26 2004
"time step" is not defined
631 open
errata-simple
2001b
Bineet SRIVASTAVA <bineet.srivastava@st.com>
Nov 08 2004
Issue: Genvar Clarification
638 open
errata-simple

Steven Sharp <sharp@cadence.com>
Nov 28 2004
erratum/282: PROPOSAL - 4.5 sign and size rules
642 open
errata-simple
2001b
Shalom.Bresticker@freescale.com
Dec 01 2004
$swrite should overwrite entire string
644 open
errata-discuss
2001b
Shalom Bresticker <Shalom.Bresticker@freescale.com>
Dec 23 2004
A.8.2: attributes on system function calls
649 open
errata-simple
2001b
Shalom Bresticker <Shalom.Bresticker@freescale.com>
Feb 06 2005
use of "unknown"
650 open
errata-simple
2001b
Shalom Bresticker <Shalom.Bresticker@freescale.com>
Feb 15 2005
9.7.6 does not explicitly say what happens if "wait" condition is
652 open
errata-simple
2001b
Shalom.Bresticker@freescale.com
Feb 16 2005
14.2.4.3, Example 2: path conditions not unique?
654 open
errata-discuss
2005: 14.2.3
Shalom.Bresticker@freescale.com
Mar 03 2005
14.2.3: specify block edge-sensitive path description with
655 open
errata-simple
2005D6, 7.1.6
Shalom Bresticker <Shalom.Bresticker@freescale.com>
Mar 03 2005
2005D6, 7.1.6: ambiguities in instance array port connection
656 open
errata-simple
2001b
Shalom Bresticker <Shalom.Bresticker@freescale.com>
Mar 03 2005
"port" vs. "terminal"
657 open
errata-discuss
2001b
Shalom Bresticker <Shalom.Bresticker@freescale.com>
Mar 12 2005
14.5 Driving wired logic: error in Fig 14-6?
658 open
duplicate
duplicate of 657
Shalom.Bresticker@freescale.com
Mar 13 2005
14.5 Driving wired logic: error in Fig 14-6?
660 open
errata-simple
2001b
Shalom.Bresticker@freescale.com
Apr 14 2005
Clause 7 does not clearly specify output of primitives with
661 open
errata-simple
2001b
Shalom.Bresticker@freescale.com
Apr 14 2005
5.1.10,11: minor editorial
662 open
errata-simple

sharp@cadence.com (Behavioral Task Force)
Apr 21 2005
Incorrect rule in note on Table 4-21 in 4.4.1
663 open
errata-simple

sharp@cadence.com (Behavioral Task Force)
Apr 22 2005
Incorrect width extension rule in 4.1.10.
664 open
errata-simple

sharp@cadence.com (Behavioral Task Force)
Apr 22 2005
Missing rule for reduction operators in 4.5.1
665 open
mistaken

"Stewart" <axaqnvadp@motoman.se>
Jun 22 2005
spam
666 open
mistaken
2001b
"Carroll" <anngcsr@morin.at>
Jul 07 2005
spam
667 open
TBD
2001b
"Carroll" <anngcsr@morin.at>
Jul 07 2005
For your benefit
668 open
TBD
2001b
"Manuela" <vanpulwudmz@mosnalog.ru>
Jul 10 2005
Exclusive benefits
669 open
TBD
2001b
"Cliff" <znosdz@moskit.uwm.edu.pl>
Jul 12 2005
Dont ignore this notice
670 open
TBD
2001b
"Graciela" <quaqzelgx@mora.se>
Jul 13 2005
Dont ignore this notice
671 open
TBD
2001b
"Ahmad" <qbjhod@morava.cca.cz>
Jul 16 2005
Exclusive notice
672 open
TBD
2001b
"Richard" <kjcwpao@morada.com.br>
Jul 17 2005
Dont ignore this notice
673 open
TBD
2001b
"Richard" <kjcwpao@morada.com.br>
Jul 17 2005
Dont ignore this notice
674 open
TBD
2001b
"Rolando" <pifuphsupo@moselpunk.de>
Aug 11 2005
For your benefit
675 open
TBD
2001b
"Brenda" <tvdsogs@moto.design.co.jp>
Aug 12 2005
Attention
676 open
TBD
2001b
"Terrence" <oqxbnbmu@motoman.se>
Aug 21 2005
Dont ignore this notice
680 open
TBD
2001b
"Katelyn" <fyvqcn@mosart.com.tw>
Aug 23 2005
Dont ignore this notice
681 open
TBD
2001b
"Levi" <tillnhkyg@moskit.uwm.edu.pl>
Aug 24 2005
Attention
682 open
TBD
2001b
Alec <>
Sep 30 2005
Re:
683 open
TBD
2001b
Marshall <>
Sep 30 2005
Re:

Hosted by Boyd Technology