Tue Oct 4 01:00:34 2005 PST

85 ENHANCEMENT ISSUES

ReleaseMeaning
2001aIssue against 1364-2001 First Edition
2001bIssue against 1364-2001 Second Edition (Possibly also issue in First Edition)
2001a, 2001bIssue against both 1364-2001 First and Second Editions (i.e. errata partial fix in 2001b, but more changes required)

ISSUE State Class Release Originator Arrival-Date Synopsis
4 open
enhancement
2001b
Shalom Bresticker
Jul 24 2001
Allow assignment to an array
58 open
enhancement
2001b
Shalom Bresticker
Nov 20 2001
allow force on memory word or bit-/part-select of vector variable
183 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Nov 05 2002
allow reverse part-select [lsb:msb]
191 open
enhancement
2001b: 3.11.2, 12.1, A.1.4
Shalom Bresticker
Nov 14 2002
Add localparam to ANSI-type param list
201 open
enhancement
2001b
Shalom.Bresticker@motorola.com
Nov 19 2002
module instance without parentheses
220 open
enhancement
2001b
sharp@cadence.com
Dec 16 2002
$random functions not 64-bit portable
240 open
enhancement
2001b
Shalom.Bresticker@motorola.com
Jan 01 2003
Allow initializing declarations in named blocks, tasks, functions
293 open
enhancement
2001b
Kurt Baty <kurt@wsfdb.wsfdb.com>
Mar 05 2003
variable width floating point in Verilog 200X
297 open
enhancement
2001b
sharp@cadence.com
Mar 10 2003
Allow instance array connected to data array
298 open
enhancement
2001b
sharp@cadence.com
Mar 10 2003
Add field widths to print formats
357 open
enhancement
2005
Jay Lawrence - Cadence Design Systems
Jun 02 2003
Proposal for Extending Verilog Data Types
358 open
enhancement
2005
Jay Lawrence - Cadence Design Systems
Jun 02 2003
Proposal for IP Encryption Format for Verilog
359 open
enhancement
2005
Jay Lawrence - Cadence Design Systems
Jun 02 2003
Proposal for Randomization and Constraints
378 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Jul 07 2003
add Quick Reference
381 analyzed
enhancement
2001b
sharp@cadence.com
Jul 09 2003
table model system task
382 open
enhancement
2001b
sharp@cadence.com
Jul 09 2003
add view configurations
383 open
enhancement
2001b
sharp@cadence.com
Jul 09 2003
add inherited connections
384 open
enhancement
2001b
sharp@cadence.com
Jul 09 2003
add mfactor parameters
385 open
enhancement
2001b
sharp@cadence.com
Jul 09 2003
interconnect net that resolves to type
386 analyzed
enhancement
2001b
sharp@cadence.com
Jul 09 2003
user defined functions on instantiations
388 open
enhancement
2001b
sharp@cadence.com
Jul 09 2003
genvar in behavior
389 open
enhancement
2001b
sharp@cadence.com
Jul 09 2003
dynamic param
391 open
enhancement
2001b
sharp@cadence.com
Jul 09 2003
wreal type
400 open
enhancement
2001b: 4.1.5
Shalom.Bresticker@motorola.com
Jul 25 2003
reduce arithmetic operators x-pessimism
401 open
enhancement
2001b: 4.1.7
Shalom.Bresticker@motorola.com
Jul 25 2003
reduce relational operators x-pessimism
404 open
enhancement
2001b
Shalom.Bresticker@motorola.com
Jul 27 2003
add wildcards for equality operators
405 open
enhancement
2001b
Shalom.Bresticker@motorola.com
Jul 27 2003
add ranges for equality operators
406 open
enhancement
2001b
Shalom.Bresticker@motorola.com
Jul 27 2003
add lists for equality operators
409 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Jul 28 2003
lists in part-selects
411 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Jul 31 2003
extend operators to vectors and arrays
414 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Aug 02 2003
rotate operator
419 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Aug 18 2003
reconsider for 1364-2005 proposals made for 1364-2001
421 open
enhancement
2001b: 17.9.3
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Aug 18 2003
17.9.3: move to Annex
422 open
enhancement
2001b: 18
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Aug 18 2003
18: extend $dumpvars to exclude a signal or module
427 open
enhancement
2001b: 4.1.3, 4.1.6
Shalom.Bresticker@motorola.com
Aug 22 2003
combine 4.1.3 and 4.1.6
429 open
enhancement
2001b
Stefen Boyd <stefen@boyd.com>
Aug 22 2003
Incorporate by reference Accellera PSL
435 open
enhancement
2005
Francoise Martinolle
Aug 22 2003
Verilog transaction recording extensions
438 open
enhancement
2005
Yaron Kashai
Aug 24 2003
Verification extensions for Verilog
443 open
enhancement
2001b
Jay Lawrence - Cadence Design Systems
Aug 25 2003
Allow modules to be passed through ports
447 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Aug 26 2003
`ifdef boolean combination of identifiers
448 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Aug 26 2003
extend new file i/o to allow combinations of fd's
450 open
enhancement
2001b
Stephen Williams <steve@icarus.com>
Aug 26 2003
Compressed Assignment Satements
451 open
enhancement
2001b: C,D
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Aug 27 2003
review Annex C and D
453 open
enhancement
2001b
sharp@cadence.com
Aug 28 2003
add `undefineall functionality
455 open
enhancement
2005
atsushi@jedatechnologies.com
Aug 30 2003
Jeda Verification Enhancements
457 open
enhancement
2001b: Index
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Aug 31 2003
extend index to complete 1364-2001
458 open
enhancement
2001b: Index
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Aug 31 2003
extend index to cover 1364-2005 enhancements
466 open
enhancement
2005
Fintronic USA, Inc.
Sep 09 2003
Separate Compilation
473 open
enhancement
2001b
Adam Krolnik
Sep 16 2003
Preprocessor directive for user defined preprocessor
474 open
enhancement
2001b
Adam Krolnik
Sep 16 2003
First class part selection operator
475 open
enhancement
2001b
Adam Krolnik
Sep 16 2003
Support a container to define how to interface to a set of signals.
476 open
enhancement
2001b
Adam Krolnik
Sep 16 2003
Standard reporting mechanism for functional errors/warnings, etc.
477 open
enhancement
2001b
Adam Krolnik
Sep 16 2003
Provide an assertion statement with the capability to use industry standard property specification.
478 open
enhancement
2001b
Adam Krolnik
Sep 16 2003
Provide part selection of a structure
481 open
enhancement
2001b
Shalom.Bresticker@motorola.com
Sep 18 2003
define standard preprocessor
482 open
enhancement
2001b
Shalom.Bresticker@motorola.com
Sep 18 2003
add standard way to define functional coverage points
492 open
enhancement
2001b
Shalom.Bresticker@motorola.com
Oct 11 2003
add lists of figures, tables, syntaxes
497 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Oct 28 2003
add glossary section
498 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Nov 03 2003
system function/task to extract timescale info to variables
502 open
enhancement
2001b
Shalom.Bresticker@motorola.com
Nov 05 2003
Dynamic Values on attributes
508 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Nov 17 2003
add arrays of `defines
509 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Nov 17 2003
add arrays of parameters
514 open
enhancement
2001b
Shalom.Bresticker@motorola.com
Dec 04 2003
config file should support module and primitive arrays
519 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Dec 18 2003
system function to get signal strength
520 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Dec 25 2003
3.3.2: deprecate "scalared" and "vectored" keywords
528 open
enhancement

Steven Sharp
Jan 19 2004
SDF should support $timeskew and $fullskew
529 open
enhancement

Shalom.Bresticker@motorola.com
Jan 20 2004
Add "bidirectional skew" timing check
532 open
enhancement
2001b: 18
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Jan 27 2004
new, binary dump format in addition to vcd
537 open
enhancement
2001b
Shalom.Bresticker@motorola.com
Feb 08 2004
allow unsized numbers and integer variables in concatenations
545 open
enhancement
2001c: 4.2.1, 4.2.2
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Feb 16 2004
4.2.1, 4.2.2: out of bounds addressing
548 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Feb 24 2004
support SDF RETAIN?
558 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Mar 11 2004
allow multidimensional arrays of modules
565 open
enhancement
2005
Shalom.Bresticker@motorola.com
Mar 18 2004
find way to embed PSL
571 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@motorola.com>
Apr 14 2004
review explicit restrictions in LRM
572 open
enhancement
2001b
Shalom.Bresticker@motorola.com
Apr 27 2004
multidimensional instance arrays
573 open
enhancement
2001b
Shalom.Bresticker@motorola.com
Apr 27 2004
loops within concatenations?
577 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@freescale.com>
Apr 29 2004
tables of BNF non-terminal references
580 open
enhancement

sharp@cadence.com (Behavioral Task Force)
May 05 2004
Add some system functions for use in constant expressions
585 open
enhancement

Shalom Bresticker <Shalom.Bresticker@freescale.com>
Jun 03 2004
parameterized task/function extensions
588 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@freescale.com>
Jun 14 2004
Add ranges to case_item expressions
589 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@freescale.com>
Jun 14 2004
x-pessimism for if statements
590 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@freescale.com>
Jun 14 2004
vector version of ?: operator
593 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@freescale.com>
Jul 19 2004
Continuous assignment case expressions
594 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@freescale.com>
Jul 19 2004
Allowing parameters to define the length of a constant
595 open
enhancement
2001b
Shalom Bresticker <Shalom.Bresticker@freescale.com>
Jul 19 2004
Ability to initialize variables to 0, 1, or random instead

Hosted by Boyd Technology