ISSUE 101

Number 101
Category errata
Synopsis 17.10.1: $test$plusargs argument
State lrmdraft
Class errata-discuss
Arrival-DateAug 21 2002
Originator Shalom Bresticker <Shalom.Bresticker@motorola.com>
Release 2001b: 17.10.1, 17.10.2
Environment
ETF issue #92 and #93 are related.
Description

--------------A4A9103ABF4EA4883C35954C
Content-Type: text/plain; charset=us-ascii
Content-Transfer-Encoding: 7bit

The argument user_string to $value$plusargs is "either a string or a
register which is interpreted as a string".
(shouldn't that word "register" be something else?)

On the other hand, the argument string to $test$plusargs is just called
a string, which implies only a string literal.

But in Verilog-XL, a reg variable works also.

So, the sentence which appears in $value$plusargs, after correction,
should appear for $test$plusargs as well.


--
Shalom Bresticker Shalom.Bresticker@motorola.com
Design & Reuse Methodology Tel: +972 9 9522268
Motorola Semiconductor Israel, Ltd. Fax: +972 9 9522890
POB 2208, Herzlia 46120, ISRAEL Cell: +972 50 441478

"The devil is in the details."



--------------A4A9103ABF4EA4883C35954C
Content-Type: text/html; charset=us-ascii
Content-Transfer-Encoding: 7bit

<!doctype html public "-//w3c//dtd html 4.0 transitional//en">

The argument user_string to $value$plusargs is "either a string or a register
which is interpreted as a string".

(shouldn't that word "register" be something else?)

On the other hand, the argument string to $test$plusargs is just called
a string, which implies only a string literal.

But in Verilog-XL, a reg variable works also.

So, the sentence which appears in $value$plusargs, after correction,
should appear for $test$plusargs as well.

 

-- 
Shalom Bresticker                           Shalom.Bresticker@motorola.com
Design & Reuse Methodology                             Tel: +972 9 9522268
Motorola Semiconductor Israel, Ltd.                    Fax: +972 9 9522890
POB 2208, Herzlia 46120, ISRAEL                       Cell: +972 50 441478

"The devil is in the details."

 

--------------A4A9103ABF4EA4883C35954C--

Fix
Change the first sentence of section 17.10.1 FROM:

This system function searches the list of plusargs for the
provided string.

TO:

This system function searches the list of plusargs for a
user specified plusarg string. The string is specified in
the argument to the system function as either a
string or a or non-real variable that is interpreted as a string.
This string shall not include the leading plus sign of
the command line argument.

Change the second sentence in 17.10.2:

REPLACE:
register

WITH:
non-real variable

REPLACE:
which

WITH:
that

Audit-Trail
Unformatted


Hosted by Boyd Technology