ISSUE 259

Number 259
Category errata
Synopsis 19.3.1: Allow escaped identifiers as text macro names
State lrmdraft
Class errata-simple
Arrival-DateJan 12 2003
Originator Shalom.Bresticker@motorola.com
Release 2001b: Syntax 19-2, A.9.3
Environment
Description

In 19.3.1: In Syntax 19-2 and para. 9,
and in 19.4, para. 6, and in A.9.3,
it says that text macro identifiers must be
simple_identifiers, excluding escaped_identifiers.

This is the only place in the LRM where simple identifiers
are allowed and escaped identifiers are not, and I see that
Verilog-XL allows escaped text macro names as well,
so I propose to relax that restriction (which I foolishly
added into the LRM), and allow any identifier to be a
text macro name.
Fix

In 19.3.1 (Syntax 19-2), and in A.9.3
CHANGE
text_macro_identifier ::= simple_identifier

TO
text_macro_identifier ::= identifier


In 19.3.1, paragraph 9, DELETE the sentence:

"The text macro name shall be a simple identifier."


In 19.4, paragraph 6, CHANGE the first sentence FROM:

"The text_macro_identifier is a Verilog HDL simple_identifier."

TO:

"The text_macro_identifier is a Verilog HDL identifier."
Audit-Trail
Unformatted


Hosted by Boyd Technology