ISSUE 412

Number 412
Category errata
Synopsis 2.5.1: rearrange text on x/z
State lrmdraft
Class errata-simple
Arrival-DateAug 01 2003
Originator Shalom.Bresticker@motorola.com
Release 2001b: 2.5.1
Environment
Description
In 2.5.1, I think some of the text relating to x and z is wrongly placed.

I would like to move the end of para. 3 and para. 4:

"Unsized unsigned constants where the high order bits is unknown (X or x)
or three-state (Z or z) are extended to the size of the expression containing
the constant.

NOTE--In IEEE Std 1364-1994, unsized constants where the high order bit is
unkown or three-state. the x or z was only extended to 32 bits."

to between para. 12 ("An x represents the unknown value ...") and para. 13
("If the size of the unsigned number...").


In addition, I propose to move para. 6 ("The use of x and z in defining the
value of a number is case insensitive.") to after para. 13.


--
Shalom Bresticker Shalom.Bresticker@motorola.com
Design & Reuse Methodology Tel: +972 9 9522268
Motorola Semiconductor Israel, Ltd. Fax: +972 9 9522890
POB 2208, Herzlia 46120, ISRAEL Cell: +972 50 441478

Fix
In 2.5.1,

CHANGE:

"The first token, a size constant, shall specify the size of the constant in terms of its exact number of bits. It
shall be specified as a non-zero unsigned decimal number. For example, the size specification for two hexadecimal
digits is 8, because one hexadecimal digit requires 4 bits. Unsized unsigned constants where the
high order bit is unknown (X or x) or three-state (Z or z) are extended to the size of the expression containing
the constant.

NOTE--In IEEE Std 1364-1995, unsized constants where the high order bit is unknown or three-state, the x or z was
only extended to 32 bits.

The second token, a base_format, shall consist of a case-insensitive letter specifying the base for the
number, optionally preceded by the single character s (or S) to indicate a signed quantity, preceded by the
single quote character (' ). Legal base specifications are d, D, h, H, o, O, b, or B, for the bases decimal, hexadecimal,
octal, and binary respectively.

The single quote and the base format character shall not be separated by any white space."


TO:

"The first token, a size constant, shall specify the size of the constant in terms of its exact number of bits. It
shall be specified as a non-zero unsigned decimal number. For example, the size specification for two hexadecimal
digits is 8, because one hexadecimal digit requires 4 bits.

The second token, a base_format, shall consist of a case-insensitive letter specifying the base for the
number, optionally preceded by the single character s (or S) to indicate a signed quantity, preceded by the
single quote character ( ). Legal base specifications are d, D, h, H, o, O, b, or B, for the bases decimal, hexadecimal,
octal, and binary respectively.

The single quote and the base format character shall not be separated by any white space."


AND CHANGE

"An x represents the unknown value in hexadecimal, octal, and binary constants. A z represents the highimpedance
value. See 3.1 for a discussion of the Verilog HDL value set. An x shall set 4 bits to unknown in
the hexadecimal base, 3 bits in the octal base, and 1 bit in the binary base. Similarly, a z shall set 4 bits, 3
bits, and 1 bit, respectively, to the high-impedance value.

If the size of the unsigned number is smaller than the size specified for the constant, the unsigned number
shall be padded to the left with zeros. If the leftmost bit in the unsigned number is an x or a z, then an x or a
z shall be used to pad to the left respectively."

TO:

"An x represents the unknown value in hexadecimal, octal,
and binary constants. A z represents the high impedance
value. See 3.1 for a discussion of the Verilog HDL value
set. An x shall set 4 bits to unknown in the hexadecimal
base, 3 bits in the octal base, and 1 bit in the binary
base. Similarly, a z shall set 4 bits, 3 bits, and 1 bit,
respectively, to the high-impedance value.

If the size of the unsigned number is smaller than the size
specified for the constant, the unsigned number shall be
padded to the left with zeros. If the leftmost bit in the
unsigned number is an x or a z, then an x or a z shall be
used to pad to the left respectively.

Unsized unsigned constants where the high order bit is
unknown (X or x) or three-state (Z or z) are extended to the
size of the expression containing the constant.

NOTE--In IEEE Std 1364-1995, unsized constants where the
high order bit is unknown or three-state, the x or z was
only extended to 32 bits.

The use of x and z in defining the value of a number is case
insensitive."
Audit-Trail
Unformatted


Hosted by Boyd Technology