ISSUE 480

Number 480
Category errata
Synopsis /471 Re: udp scheduling semantics
State closed
Class mistaken
Arrival-DateSep 16 2003
Originator Stephen Williams <steve@icarus.com>
Release 2001b
Environment
Description
Shalom.Bresticker@motorola.com wrote:
> Precedence: bulk
>
> In my opinion, the erratum is that the standard does not specify the
> scheduling of UDPs. The standard also does not say that they are scheduled
> on the active events queue, even though I agree that that is what the
> simulators do. In principle. by IEEE rules, another simulator could schedule
> them differently and still claim compliance to the standard. And in any case,
> one should not have to guess or to experiment in order to discover it.

Exactly my original point. I was left guessing, so I made a guess.
I guessed right, then reasoned it out differently, and changed my
guess. Even some people who should have known better supported my
"improved" reasoning, as did some frustrated users. We were all
wrong, apparently.

Strictly speaking even ordinary primitive gates are left for the
implementer to guess about, although putting propagation events in
the active queue seems obvious. The *only* constructs that have their
output scheduling explicitly stated are the assignments and the
tran devices.

So for errata/471 the solution I proposed is apparently wrong, but
the fault it tries to fix is valid. The correct (I hope) answer is
to explicitly state that the outputs of all primitives, including
user defined conbinational and sequential primitives, are propagated
through the _active_ events queue.
--
Steve Williams "The woods are lovely, dark and deep.
steve at icarus.com But I have promises to keep,
http://www.icarus.com and lines to code before I sleep,
http://www.picturel.com And lines to code before I sleep."

Fix
Audit-Trail
Unformatted

Hosted by Boyd Technology