ISSUE 603

Number 603
Category errata
Synopsis Revised generate proposal from 113
State lrmdraft
Class errata-simple
Arrival-DateJul 26 2004
Originator Karen Pieper <Karen.Pieper@synopsys.com>
Release 2001b
Description

>Date: Mon, 26 Jul 2004 01:30:00 -0700
>From: Shalom.Bresticker@freescale.com
>To: etf-bugs@boyd.com
>Subject: Re: errata/113: revised generate proposal
>Sender: owner-etf@boyd.com
>X-pstn-levels: (S:99.90000/99.90000 R:95.9108 P:95.9108 M:97.3254 C:87.0944 )
>
>The following reply was made to PR errata/113; it has been noted by GNATS.
>
>From: Shalom.Bresticker@freescale.com
>To: Jason Woolf <jasonw@cadence.com>
>Cc: etf-bugs@boyd.com
>Subject: Re: errata/113: revised generate proposal
>Date: Mon, 26 Jul 2004 11:24:04 +0300 (IDT)
>
> Jason,
>
> I agree.
>
> The use of "generate scope" in the original LRM was more in the sense of
> "lexical scope", i.e., the statements enclosed by the generate-endgenerate
> keywords, but that is not what we mean here.
>
> We now use "generate block" or "generate construct" or "generate region",
> as appropriate, for that usage, if necessary.
>
> So let me suggest the following changes to 113:
>
> 1. In 10.3.5, CHANGE
>
> "-- They shall not be declared inside a generate scope."
>
> TO
>
> "-- They shall not be declared inside a generate block (see 12.4)."
>
>
> 2. In 12.4.1, in the text after Example 4, CHANGE
>
> "These generate scope names can be used in hierarchical path names"
>
> TO
>
>
> "These names can be used in hierarchical path names"
>
> OR
>
> "These generate block instance names can be used in hierarchical path names"
>
>
> 3. In 12.4.3, Example 10, CHANGE
>
> "The following generate scope", wherever it occurs
>
> TO
>
> "The following generate block"
>
> (A quibble with this is that these comments are followed by code such as
>
> if (genblk2) reg a; // top.genblk1.a
> else reg b; // top.genblk1.b
>
> which is really one generate construct with two alternate generate blocks.)
>
>
> Also, CHANGE
>
> // Note that the previous generate construct would have been
> // named genblk3 if it had not been explicitly named g1
>
> TO
>
> // Note that the previous generate block would have been
> // named genblk3 if it had not been explicitly named g1
>
>
> Also, CHANGE
>
> // The following generate scope is implicitly named genblk1
> // as the first nested scope of genblk4
>
> TO
>
> // The following generate block is implicitly named genblk1
> // as the first nested generate block in genblk4
>
>
> OK, now I'll get back to 17.
>
> Shalom
>
>
>
> On Thu, 8 Jul 2004, Jason Woolf wrote:
>
> > My initial document did not use that term. I suspect this is because the
> > LRM does not define a similar term for module scopes. Since there are only
> > a couple of places with "generate scope" has been used, perhaps the best
> > way to go is to replace each use of "generate scope" with a term that is
> > more consistent with pre-existing language.
> >
> > For example, in section 10.3.5, where it now says,
> >
> > -- They shall not be declared inside a generate scope.
> >
> > we could use "generate block" instead. In the text following Example 4 in
> > section 12.4.1, where it now says,
> >
> > ... These generate scope names can be used in hierarchical path names
> >
> > we could remove "generate scope" from this sentence without diminishing the
> > meaning of the sentence. Or replace it with "indexed".
> >
> > Can you do something similar with issue #17?
> >
> > -Jason
> >
> > > From: Shalom.Bresticker@freescale.com
> > > Date: Thu, 8 Jul 2004 17:19:51 +0300 (IDT)
> > >
> > > Hi, Jason,
> > >
> > > I think I need a minor edit of the generate document.
> > >
> > > While working on the proposal for issue #17, which uses the term
> > > "generate scope" in a forward reference, and I wanted to add a
> > > cross-reference to its definition, I found that it does not seem to be
> > > explicitly defined.
> > >
> > > The term is also used in the new 12.4 a number of times. Although when I
> > > reviewed it, I know I found and understand its meaning, I think it would
> > > be good to add a sentence which explicitly defines it, of the sort,
> > > "A generate scope is ...".
> > >
> > > Can you do that?


Fix
1. In 10.3.5, CHANGE

"-- They shall not be declared inside a generate scope."

TO

"-- They shall not be declared inside a generate block
(see 12.4)."


2. In 12.4.1, in the text after Example 4, CHANGE

"These generate scope names can be used in hierarchical
path names"

TO


"These names can be used in hierarchical path names"


3. In 12.4.3, Example 10, CHANGE

"The following generate scope", wherever it occurs

TO

"The following generate block"

Also, CHANGE

// Note that the previous generate construct would have been
// named genblk3 if it had not been explicitly named g1

TO

// Note that the previous generate block would have been
// named genblk3 if it had not been explicitly named g1


Also, CHANGE

// The following generate scope is implicitly named genblk1
// as the first nested scope of genblk4

TO

// The following generate block is implicitly named genblk1
// as the first nested generate block in genblk4
Audit-Trail

Fix replaced by pieper@synopsys.com on Mon Jul 26 10:34:12 2004
1. In 10.3.5, CHANGE

"-- They shall not be declared inside a generate scope."

TO

"-- They shall not be declared inside a generate block
(see 12.4)."


2. In 12.4.1, in the text after Example 4, CHANGE

"These generate scope names can be used in hierarchical
path names"

TO


"These names can be used in hierarchical path names"


3. In 12.4.3, Example 10, CHANGE

"The following generate scope", wherever it occurs

TO

"The following generate block"

Also, CHANGE

// Note that the previous generate construct would have been
// named genblk3 if it had not been explicitly named g1

TO

// Note that the previous generate block would have been
// named genblk3 if it had not been explicitly named g1


Also, CHANGE

// The following generate scope is implicitly named genblk1
// as the first nested scope of genblk4

TO

// The following generate block is implicitly named genblk1
// as the first nested generate block in genblk4


Unformatted


Hosted by Boyd Technology