ISSUE 92

Number 92
Category errata
Synopsis 17.10.2 should talk about leading plus sign
State lrmdraft
Class errata-simple
Arrival-DateAug 12 2002
Originator Charles Dawson
Release 2001b: 17.10.2
Environment
ETF issues #93 and #101 are related.
Description
The section does not indicate whether or not the leading
plus sign should be included in the first argument to
$value$plusargs().

I recommend that the leading plus sign NOT be included
in the first argument.
Fix
1. Add the following sentence after the second sentence of the
first paragraph of section 17.10.2:

"This string shall not include the leading plus sign of
the command line argument."

2. In para. 2,

CHANGE: "as well as a leading 0 forms"
TO : "as well as leading 0 forms".


3. In para. 3, end of line 3,

CHANGE: "users plusarg_string"
TO : "user's plusarg string".


4. In para. 4, end of line 1,

CHANGE: "zero (0) padded"
TO: "zero-padded".

5. CHANGE THE 3rd and 4th sentences in the first paragraph

FROM:

"If the string is found, the remainder of the string is
converted to the type specified in the user_string and the
resulting value stored in the variable provided. If a string
is found, the function returns a non-zero integer."

TO (incorporating wording from 17.10.1):

"The plusargs present on the command line are searched in
the order provided. If the prefix of one of the supplied
plusargs matches all characters in the provided string,
the function returns a non-zero integer,
the remainder of the string is
converted to the type specified in the user_string and the
resulting value is stored in the variable provided."

************************************************************

Audit-Trail

From: Shalom Bresticker <Shalom.Bresticker@motorola.com>
To: chas@cadence.com
Cc: etf-bugs@boyd.com
Subject: Re: errata/92: Section 17.10.2 should talk about leading plus sign
Date: Wed, 21 Aug 2002 15:56:52 +0300

--------------51C5BE823372BE21D3354265
Content-Type: text/plain; charset=us-ascii
Content-Transfer-Encoding: 7bit

> The section does not indicate whether or not the leading
> plus sign should be included in the first argument to
> $value$plusargs().

Same for $test$plusargs.

--
Shalom Bresticker Shalom.Bresticker@motorola.com
Design & Reuse Methodology Tel: +972 9 9522268
Motorola Semiconductor Israel, Ltd. Fax: +972 9 9522890
POB 2208, Herzlia 46120, ISRAEL Cell: +972 50 441478

"The devil is in the details."



--------------51C5BE823372BE21D3354265
Content-Type: text/html; charset=us-ascii
Content-Transfer-Encoding: 7bit

<!doctype html public "-//w3c//dtd html 4.0 transitional//en">


<blockquote TYPE=CITE>The section does not indicate whether or not the
leading

plus sign should be included in the first argument to

$value$plusargs().
Same for $test$plusargs.
-- 
 Shalom Bresticker                           Shalom.Bresticker@motorola.com
 Design & Reuse Methodology                             Tel: +972 9 9522268
 Motorola Semiconductor Israel, Ltd.                    Fax: +972 9 9522890
 POB 2208, Herzlia 46120, ISRAEL                       Cell: +972 50 441478
 
 "The devil is in the details."

 

--------------51C5BE823372BE21D3354265--

Unformatted


Hosted by Boyd Technology