Meeting called to order 8:30 February 9, 2004 

Attendance: 

00111000000000000111110000 \
21210987654433211221109998 / Month 
01000011112021121101022002 \ 
92136814691740073628413996 / Day 

aaaaaaaaaa-aaaaaaaaaaaa*aa Steven Sharp 
-aaaapaaaaaaaaaaaaaaa-a*aa Karen Pieper 
aaaaa-a----a--aa-aa--a-$aa Cliff Cummings 
aaaaaaaaaaaaaaaaaaaaaaa=-a Shalom Bresticker 
aaaa--a-aaaaaaaaaaaaaaa*aa Stefen Boyd 
-a------a--aaaaaaaaaaaa*aa Dennis Marsa 
a-aaaaaaa-aaaaa-aaa-aaa$aa James Markevitch 
-----aaaa-aa-a-aaaaaaa-=-a Gordon Vreugdenhil 
------aaa--aaa-aa-aaaaa$aa Anders Nordstrom 
-------------------aaa-$a- Ted Elkind 
aaaaaaaaaaaaaaaaaaaaa-a*a- Brad Pierce 
aaaaaaaaaa-aaaaa-aaaaaa*a- Charles Dawson 
a-aaaaa-aa-a---aa-a-aa-$a- Mike McNamara 
aaaaa--aa-aaaaaaaaaa---*aa Stu Sutherland 
-aaaaa--a--------------*a- Tom Fitzpatrick 
-----------------------*aa Elliot Mednick 
-a--a-a-------aa---------- Don Mills 
-----aaaa-----a----------- Jay Lawrence 
--a-aa-------a------------ Mehdi Mohtashemi 
a--a--aaaa--aa------------ Kurt Baty 
--------a----------------- David Smith 
a--aaaa-a----------------- Dennis Brophy 
aaaaaaaa------------------ Francoise Martinolle 
--aaaa-------------------- Drew Lynch 
a---a--------------------- David Roberts 
---a---------------------- Ennis Hawk 
a-a----------------------- Ronald Goodstein
--a----------------------- Keith Gover 
aa------------------------ Jason Woolf 

a attended
p proxy
- absent

The co-chair directed everone's attention to
http://www.verilog.com/IEEEVerilog.html where a link to the IEEE
policy is prominent.

Review of the minutes of the last ETF meeting (Jan 12, 2004).
Shalom moves to approve the minutes.  Steven Sharp seconds.
No opposed. No abstain. Passes.

------------------------------
Open Action Items:
(7/14/03) 16: Shalom

(11/18/02) generate proposal for 17, 113, 255.

        We now have a proposal in an advanced stage.

(12/1/03) 22:  There is no concensus to a fix, so we will leave
this issue alone until there is one.

(12/1/03) 33:  Mike MacNamera to make a proposal.

(11/18/02) Steven and committee to return with an @* proposal for
        issues 22, 82, 84
        Group has agreement on some of the more contentious
        issues.

(11/18/02) Evaluating TBD Errata.  The tasks are:

    Shalom
         170 formatting of bnf non-terminals

(11/4/02) Steven will proposing a wording to fix 172.  It will
        be a significant rewrite.
        Partially rewritten through the generate proposal.  More
	work will remain after the generate proposal is ready.

(11/18/02) Evaluating TBD Errata.  The tasks are:

    Charles
        197 sscanf/"string" incompatibility

                Should add nulls in the white space description
		in 17.2.4.3a

(11/18/02) 198, 254, 58, 318: Shalom

(7/14/03) 204: James volunteered to drive

        He needs input on what the simulators do.  There are some
        complex issues here dealing with port collapsing and
        inout.
        That is covered by issue 54.

        As to the exact issue in 204, how about adding examples
        indicating that examples 2, 3, 4 are illegal?  James will

        do that.

        He has not written this up yet.  Shalom suggested that
        this and 7 other errata be evalutated together.  All of
        the issues are Brad's (filed by him?)  He does not want
        to
        drive.  James will develop a proposal for all of them
        including 204.  204, 227, 233, 234, 292, 332, 345, 452,
        486(?).

(7/14/03) 204, 227, 233, 234: James

(2/10/03)  Issue 237:   SV-BC19-41, SV-BC19-42
        Dave Roberts and Shalom to fix the proposal expanding the
        BNF and creating language to support it.

        Dave Roberts said he'd send something out later today.

(7/14/03) 245: Shalom
(7/14/03) 247: May be partially covered by generate proposal

(10/06/03) 428: Brad and Shalom

(11/3/03)  Shalom has the action to add an issue for the
situation where you need a hierarchical reference that
allows an array of scopes.  This is a follow on from issue
209.
Done.  This is issue 500

(11/3/03)  Shalom will open an issue on parameters and signing
reflecting issues raised in 441.
Done.  This is issue 499
------------------------------

James leads a discussion of a group of issues that he is
driving, 204, 227, 233, 234, 292, 332, 345, in order to get
the sense of the committee, so that he could write formal
proposals. Issue 345 closed as a duplicate.

Issue 237.  Discussion led by David Roberts and Shalom,
describing various apparently irrational differences in
the semantics of timing checks vs. the semantics of the
rest of Verilog.  Because timing checks are involved with
signoff and occur in much legacy code, there is a
consensus that simply changing the semantics is not an
option.  It is further decided that at least the
existing semantics, especially its most problematic
aspects, should be more thoroughly documented, and that
ideally, so should any extensions that are already
supported by the major tools.  There is also much support
for the idea of introducing a second syntax for timing
checks that could be given a more rational semantics
without impacting legacy code.

Generate.  Discussion by Jason and Steven Sharp about
some issues raised in e-mail by Brad and Shalom.  Some
key points are that 1) for each genvar, there may be many
implicit localparams of the same name, and it is the
latter which are used in constant_expressions 2) hence
genvar_expressions indicate those places in the syntax
where the name really denotes the genvar itself and not
one of the implicit localparams with which it is associated
3) a major design constraint required by the user
community was that generate scopes be anonymous wherever
possible.  It is also agreed that Shalom's defparam
example was so unlikely as to be considered 'pathological',
so can be excluded in the LRM with special case language.

The meeting adjourned a little after 10:30 Pacific time.