Meeting called to order 8:30 April 5, 2004 

Attendance: 

0000111000000000000111110000 \
4321210987654433211221109998 / Month 
0001000011112021121101022002 \ 
5892136814691740073628413996 / Day 

aaaaaaaaaaaa-aaaaaaaaaaaa*aa Steven Sharp 
aa-aaaapaaaaaaaaaaaaaaa-a*aa Karen Pieper 
a-aaaaa-a----a--aa-aa--a-$aa Cliff Cummings 
-aaaaaaaaaaaaaaaaaaaaaaaa=-a Shalom Bresticker 
-aaaaa--a-aaaaaaaaaaaaaaa*aa Stefen Boyd 
---a------a--aaaaaaaaaaaa*aa Dennis Marsa 
--a-aaaaaaa-aaaaa-aaa-aaa$aa James Markevitch 
-------aaaa-aa-a-aaaaaaa-=-a Gordon Vreugdenhil 
--------aaa--aaa-aa-aaaaa$aa Anders Nordstrom 
---------------------aaa-$a- Ted Elkind 
aaaaaaaaaaaaaaaaaaaaaaa-a*a- Brad Pierce 
aaaaaaaaaaaa-aaaaa-aaaaaa*a- Charles Dawson 
aaa-aaaaa-aa-a---aa-a-aa-$a- Mike McNamara 
aaaaaaa--aa-aaaaaaaaaa---*aa Stu Sutherland 
-a-aaaaa--a--------------*a- Tom Fitzpatrick 
-------------------------*aa Elliot Mednick 
---a--a-a-------aa---------- Don Mills 
-------aaaa-----a----------- Jay Lawrence 
----a-aa-------a------------ Mehdi Mohtashemi 
--a--a--aaaa--aa------------ Kurt Baty 
----------a----------------- David Smith 
-aa--aaaa-a----------------- Dennis Brophy 
aaaaaaaaaa------------------ Francoise Martinolle 
----aaaa-------------------- Drew Lynch 
--a---a--------------------- David Roberts 
-----a---------------------- Ennis Hawk 
aaa-a----------------------- Ronald Goodstein
----a----------------------- Keith Gover 
-aaa------------------------ Jason Woolf 

a attended
p proxy
- absent

The chair directed everone's attention to
http://www.verilog.com/IEEEVerilog.html where a link to the IEEE
policy is prominent.

Review of the minutes of the last ETF meeting (March 8, 2004).
Ron moves that we accept the minutes of the meeting.  Charles
seconds.  No opposed.  No abstain.  Passes.

>From the email vote on the generate proposal:
        The generate proposal passed.  Shalom had comments.  Stu
	opposed on the basis of more discussion required.

Steven moves that we ammend the passed generate proposal in the 
following way:
In the new proposal:

- In 12.4.1, Example 2, on the line 
  
 assign bin[i] = ^gray[SIZE-1:i]; 
  
 add a comment as follows: 
  
   // i refers to the implicitly defined localparam whose value in each instance 
   // of the generate block is the value of the genvar when it was elaborated. 

 - On page 12 of the new text pdf (section 12.4.2), change
 the name of the gate from test.u1.x1, to test.u1.g1. 
  
Charles seconds.  No opposed.  No abstain.  Passes.

  
Shalom's comments for future discussion:

   1) the concept of external name in 12.4.3 doesn't seem 
       complete enough. If a declaration does not have a 
       hierarchical name but instead just an implicit external 
       name, is the external name the one to be used in a 
       VCD dump? What about a pli by-name lookup? Does 
       a $display with %m in an unnamed block produce the 
       implicit name? I think that it would be valuable 
       to explicitly define the interactions/requirements 
       with respect to other aspects of the LRM. 


       Committee concensus:
       VCD dump, $display with %m are ok.  PLI name queries
       should be ok.  SDF should be ok.  References 
       in the RTL is not ok because the names have the 
       potential to change frequently with the structure
       of the design.

  
    2) 13.1 clarifies (by implication) that a configuration 
       cannot create a design root from a module that would 
       not otherwise be a "top module". I disagree with this. 
       If a self recursive model is *defined to be* a design root 
       by a configuration, we should respect that. Forcing 
       a user to create an additional level of hierarchy for 
       the purpose of using a configuration does not make sense 
       to me. The restriction on the *automatic determination* 
       of top modules is absolutely necessary, but in the 
       context of configurations we shouldn't try to second 
       guess the designer. 

       Committee concensus:
       If a configuration specifies a top-level 
       module, that specification overrides the implicit 
       top-level module defined by the default rules, even
       if that module is recursive.
  
    3) 10.3.5 removes generated functions from the list of 
       constant functions. I don't understand the rationale 
       for this. Since the visibility rules and locality 
       rules guarantee that a function would be elaborated before 
       any possible use as a constant function, I don't see 
       why this is restricted and parameter dependent functions 
       are not. 

       Committee concensus:
       May be possible to allow this at a future date.
  
 - Need to finish and add 12.8 Elaboration. 
 Committee concensus:
 Jason had written a solution up, but Shalom felt it 
 was too complicated, so we removed it from the current
 draft.
  
 - Defparam restrictions, two kinds, explanation too complex (Sharp, Feb 17; Shalom, Feb 1). 
 How can user decide whether defparam is legal or not? Update the 1st para. in 12.2.1.
 Committee concensus:
 Defer until we understand Shalom's comments.
  
 - Add more examples 
 Committee concensus:
 Defer until we understand Shalom's comments.
  
 - (Params in constant functions (Sharp, Feb 3)) 
 Committee concensus:
 If generate and constant functions have an issue,
 so do paramters in constant functions.
  
 - xref in 10.3.5 should be to 12.8 after 12.8 is added.
 Committee concensus:
 If we add a 12.8, we'll need to add a cross reference.
  
 - Should there be attributes within genvar_expression? See its BNF. 

 What about genvar's in an attribute?  Also attributes
 on the genvar expression (i = i + 1;)

 Stu believes that any construct that exists past 
 elaboration time should be accessible by the PLI.
 
 There is not agreement as to whether or not attributes
 should apply to constucts that do not survive elaboration.

Stu's concerns:

 -  We need to ensure that the handshake between the PLI and the 
    ETF occur.  Specifying exceptions, etc.  Stu is concerned 
    that the expectations have not been communicated.

    Steven's suggestion:
    
    State that generate scopes are scopes so any PLI access
    mmple_12_4_2_formatted.pdf

    
    Page 15:
    Add an example number...  And update formatting 
    according to Cliff's attached document:
    
    http://boydtechinc.com/etf/archive/att-2437/03_Example_12_4_3_formatted.pdf
    The comment about genblk3 is incorrect because genblk3 
    is named.

    Francoise will modify the example in 12_4_3 to have
    it meed to happen are:

1) The two typos Shalom had indicated that we passed this 
meeting.

2) Address Cliff's issues
a) the first example in 12.4.1

b) update the example on page 12 with Cliff's update

c) use Francoise's update to the example in 12.4.3

d) address "refer instance arrays" typo

e) Add Steven's rewrite on page 19

f) Add Steven's rewrite on page 21

As soon as Karen gets an updated document, she will start an
election to run a week.


------------------------------
Open Action Items:
(11/18/02) generate proposal for 17, 113, 255.


(12/1/03) 22:  There is no concensus to a fix, so we will leave
this issue alone until there is one.

(12/1/03) 33:  Mike MacNamera to make a proposal.

(11/18/02) Steven and committee to return with an @* proposal for
        issues 82, 84
        Group has agreement on some of the more contentious
        issues.

(11/18/02) Evaluating TBD Errata.  The tasks are:

    Shalom
         170 formatting of bnf non-terminals

(11/4/02) Steven will proposing a wording to fix 172.  It will
        be a significant rewrite.
        Partially rewritten through the generate proposal.  More
	work will remain after the generate proposal is ready.

(11/18/02) 198, 254, 58, 318: Shalom

(7/14/03) 204: James volunteered to drive

        He needs input on what the simulators do.  There are some
        complex issues here dealing with port collapsing and
        inout.
        That is covered by issue 54.

        As to the exact issue in 204, how about adding examples
        indicating that examples 2, 3, 4 are illegal?  James will

        do that.

        He has not written this up yet.  Shalom suggested that
        this and 7 other errata be evalutated together.  All of
        the issues are Brad's (filed by him?)  He does not want
        to
        drive.  James will develop a proposal for all of them
        including 204.  204, 227, 233, 234, 292, 332, 345, 452,
        486(?).

(2/10/03)  Issue 237:   SV-BC19-41, SV-BC19-42
        Dave Roberts and Shalom to fix the proposal expanding the
        BNF and creating language to support it.

        Is waiting on Shalom to do some experiments and come up 
	with a proposal based on the discussion of the 2/8/04 
	meeting.

(7/14/03) 245: Shalom
(7/14/03) 247: May be partially covered by generate proposal

(10/06/03) 428: Brad and Shalom

(3/8/04) 541:  Shalom to check the proposal

------------------------------


The meeting adjourned a little after 10:30 Pacific time with
Cliff's movement.